China launches anti-dumping probe into EU pork imports

china launches anti-dumping probe into eu pork imports

Pork is China's most popular meat and a staple of diets in the world's second most populous nation

China said Monday it had launched an anti-dumping investigation into pork imports from the European Union, the latest step in a mounting trade stand-off between the bloc and its largest economic partner.

Pork is China's most popular meat and a staple of diets in the world's second most populous nation.

Imports of pork and pork by-products from EU nations totalled over three billion dollars last year, Beijing's customs data showed.

The probe is in response to an application submitted by a local trade grouping on behalf of domestic producers, Beijing said.

"The Ministry of Commerce has opened an anti-dumping investigation into imports of relevant pork and pig by-products originating from the European Union," the ministry said in a statement.

It follows the bloc's decision last week to slap additional tariffs of up to 38 percent on Chinese electric car imports from next month after an anti-subsidy probe.

The European Commission pointed to "unfair subsidisation" in China, which it said "is causing a threat of economic injury" to EU electric car makers.

The European Commission has proposed provisional hikes of tariffs on Chinese manufacturers of 17.4 percent for market major BYD, 20 percent for Geely and 38.1 percent for SAIC.

- 'Room for understanding' -

The EU said the amount depended on the level of state subsidies received by the firms.

Beijing warned the tariffs would "harm Europe's own interests" and condemned the bloc's "protectionism".

And it ramped up threats that Beijing could target EU exports, including pork and dairy products, in the wake of the tariff announcement.

After China announced its pork investigation, the European Commission said Monday it "will follow the proceedings very closely in coordination with EU industry and our member states".

"We will intervene as appropriate to ensure that the investigation fully complies with all relevant World Trade Organization rules," spokesperson Olof Gill said.

Spain's agriculture minister Luis Planas said Monday he hoped there would be "room for understanding" over the decision.

The Iberian nation is the EU's largest exporter of pork products to China, selling over 560,000 tonnes to the world's second-largest economy last year at a total value of 1.2 billion euros ($1.3 billion), according to industry body Interporc.

"I've said it time and again: trade wars are not good, especially in the agrifood sector, because in the end they affect the purchasing capacity of citizens and their ability to choose," Planas said.

- Other probes -

Beijing launched an anti-dumping investigation in January into brandy imported from the EU in a move seen as targeting France, which had pushed for the commission's probe.

It also launched an anti-dumping investigation in May into imports of a key engineering chemical from the EU, the United States, Taiwan and Japan.

Its commerce ministry said last week that domestic industries "have the right" to request probes into imports to "protect their own legitimate rights and interests".

Beijing also said last week it "reserves the right" to file a suit with the World Trade Organization over planned new EU tariffs.

Germany's economy minister Robert Habeck will visit China this week, with a spokesman saying he "will not be able to avoid addressing" the topic of tariffs.

mjw-oho/sn

OTHER NEWS

3 hrs ago

Chinese ships have left Sabina Shoal in West Philippine Sea - Navy | INQToday

4 hrs ago

Ozempic Maker to Construct $4.1 Billion US Factory

4 hrs ago

Stock market today: World shares advance after Nvidia's rebound offsets weakness on Wall St

4 hrs ago

Dollar rises on hawkish Fed talk; key inflation data looms

4 hrs ago

Status Quo's Somerset tour could be its 'last ever'

4 hrs ago

‘Kinds of Kindness' Star Jesse Plemons Explains Why He Missed Accepting Cannes Best Actor Prize and What He Loves About Working With Yorgos Lanthimos

4 hrs ago

Doubling down on De Lima

4 hrs ago

Italy's Meloni says EU top jobs deal ignores voters' wishes

4 hrs ago

Popular Republican and Trump running mate contender makes first Senate endorsement in 2024 races

4 hrs ago

Bosses are using 'silent lay-offs' and 'quiet firing' to get rid of employees. It could backfire.

4 hrs ago

Meralco draws 8 bidders for 600-MW baseload supply

4 hrs ago

Rivian just got a $5 billion investment from Volkswagen, and it could help Rivian solve one of its biggest problems

4 hrs ago

Is Alex Sarr to the Wizards a lock at No. 2 in the NBA Draft?

4 hrs ago

No need to polish your Cybertruck — you can now buy one that comes with a mirror-like sheen for $150,000

4 hrs ago

La Salle's Escandor, Phillips apply for PBA Draft; Tiongson to follow?

4 hrs ago

ZUS to select Thea Gagate as No.1 pick

5 hrs ago

Matthew Stafford has 3rd-largest cap hit in NFL. Could a new contract change that?

5 hrs ago

Aston Martin Valiant Is an Auric Revival of '80s Brutalism

5 hrs ago

Reds place their most effective starter on injured list

5 hrs ago

Profar hits a grand slam 5 innings after dustup to boost the Padres to a 9-7 win vs. the Nationals

5 hrs ago

Red Sox 'ideal' deadline acquisition is an Angels infielder

5 hrs ago

J.Crew's Double-Discount Sale Includes an Extra 50% Off Dresses, Shorts, and More—From $17

5 hrs ago

Pagasa: Cloudy Wednesday with rain showers

5 hrs ago

Vantage Foundation partners with Doorstep Library to enhance literacy among families living in areas of disadvantage in the UK

5 hrs ago

Warning as road closure barriers 'severely vandalised'

5 hrs ago

Actor killed in shark attack in Hawaii was a former pro surfer

5 hrs ago

Transaction Growth Confirms Fliggy's Strength Connecting International Brands to Chinese Consumers

5 hrs ago

Walmart says automating its warehouses will actually give workers longer careers

5 hrs ago

CoinEx Charity Supports Blockchain Education in the Philippines at the Blockchain Campus Conference

5 hrs ago

Marcos reserves portion of land in Tondo for DHSUD projects

5 hrs ago

Football transfer rumours: Man Utd hold Rabiot talks; Arsenal & Chelsea learn new Osimhen price

5 hrs ago

A posthumous album by Sophie is due in September

5 hrs ago

Mayor Binay slams Comelec on EMBO residents not having congressman vote

5 hrs ago

Italy's Meloni vows to correct EU ban on petrol and diesel cars

5 hrs ago

Fil-Canadian libero makes case for roster spot in PVL combine

5 hrs ago

One passer each for geodetic engineers and sanitary engineers special licensure exams

5 hrs ago

Despite lower price, the growing global LNG market stays tight amid supply constraints, according to the 2024 IGU World LNG Report

5 hrs ago

An Austin Tex-Mex Restaurant Was Used as a ‘Jeopardy’ Clue

5 hrs ago

Marcos greenlights $288-M digital project to boost broadband connectivity

6 hrs ago

Peru eases Chinese port dispute ahead of president's meeting with Xi